Welcome![Sign In][Sign Up]
Location:
Search - manchester encoding

Search list

[Internet-NetworkMafffnchester

Description: 一个曼彻斯特编码解码器以及差分曼彻斯特编码解码程序,Vc++6下编译通过-a Manchester encoding decoder difference Manchester encoding and decoding process, Vitamin C++ 6.0 compiler through
Platform: | Size: 229376 | Author: 杨圣湖 | Hits:

[Communication曼彻斯特码

Description: 今天看了一下从fpga上下的曼彻斯特编解码的程序,感觉不是很清楚,仿真了一下,更迷茫了,大家看看为啥这程序要这么编呢? 程序比较长,不过写的应该还是不错的,看了后应该有收获。 总的思路是这样: 1 通过一个高频的时钟检测wrn信号,如果检测到上升沿,则表明开始编码,将输入的8位数据转为串行,并编码,然后输出。 2 定时信号是从高频时钟16分频后得到的,在wrn上升沿后16分频使能,在编码结束后禁止分频输出。 3 no_bits_sent记录串行输出的位数,应该是从0010到1001输出串行信号,到1010时编码结束,输出tbre表明编码完成。 问题是no_bits_sent在到了1010后还是会继续增加,直到1111,然后clk1x_enable 就为0,无法分频,clk1x就为一直流信号。这样当clk1x_enable再次为1的时候,no_bits_sent也不会增加,在1111上不变,clk1x_enable又会回到0了。 -today they simply watched from across the Manchester encoding and decoding process, not feeling very well, simulation a bit more confused, we look at procedures to be ready this series so? Procedures longer, but should still write good, it should have read harvest. The thinking is this : one by a high-frequency clock signal detection international, if detected rising edge, it indicates the beginning of coding will be entered into the eight to serial data and coding, and then output. Two timing signals from the high-frequency clock frequency 16 hours after the the international rising edge after 16 minutes frequency to enable the coding after the end of Prohibition-frequency output. 3 no_bits_sent record median serial output, it should be from 0010 to 1001 serial output signal to the end of
Platform: | Size: 5120 | Author: 游畅 | Hits:

[VHDL-FPGA-Verilog用cpld实现曼彻斯特编码

Description: 用cpld实现曼彻斯特编码 用verilog HDL进行曼彻斯特编码,用于通信中-cpld achieve with Manchester encoding with Verilog HDL Manchester encoding. for Communication
Platform: | Size: 4096 | Author: 李鹏 | Hits:

[Internet-Networkydm

Description: 仿真程序: 1. OSI参考模型的信息流动过程:设计一个仿真程序,说明OSI参考模型的信息流 动过程以及每层的作用。 2. 调制和解调:设计一个演示程序,说明调制解调器的调制和解调的过程 3. 信号的编码:设计一个仿真程序,演示信号的直接编码、曼彻斯特编码、差分曼 彻斯特编码; 4. 滑动窗口协议:设计一个仿真程序,说明滑动窗口协议的工作过程 5. 设计一个全双工停等协议 -simulation program : 1. OSI reference model of information flow : design a simulation program, Note OSI Reference Model processes and information flows for each role. 2. Modulation and demodulation : design a demonstration program that the modem modulation and demodulation process 3. The signal coding : a simulation program designed to demonstrate the direct signal coding, Manchester encoding, the difference Manchester encoding; 4. Sliding Window Protocol : design a simulation program that the sliding window protocols process 5. The design of a full-duplex shutdown agreement
Platform: | Size: 10240 | Author: 小龙 | Hits:

[Com Portmanchester_base_on_verilog

Description: yon用硬件描述语言写的曼彻斯特编解码,并在Xilinx CPLD上的实现,内容齐全,是学习的好资料-yon hardware description language used to write the Manchester encoding and decoding Xilinx CPLD and the realization that the complete study is a good information
Platform: | Size: 10240 | Author: slam | Hits:

[VHDL-FPGA-Verilogman_Verilog

Description: 曼彻斯特编解码,是Verilog语言代码,不多介绍了,用途非常广泛了-Manchester encoding and decoding is the Verilog language code, introduced a few, a very extensive use
Platform: | Size: 9216 | Author: 刘超 | Hits:

[Special EffectsFPGAMILSTD1553B

Description: 介绍用FPGA设计实现MIL-STD1553B部接口中的曼彻斯特码编解码器-presentation FPGA Design MIL-STD1553B Ministry interface Manchester encoding and decoding
Platform: | Size: 96256 | Author: li | Hits:

[SCMRead_H4001_ID

Description: 用AVR单片机ATMega32通过U2270B读取H4001只读RFID卡号的范例,H4001卡有关信息,采用曼切斯特编码,125Khz,RF/64,位周期512uS,激光编程64位ID。-with AVR ATMega32 through U2270B RFID read CD-H4001 Create a model, the H4001 card information using Manchester encoding, 125Khz, RF/64. 512 uS-cycle laser programming 64 ID.
Platform: | Size: 41984 | Author: 陈浩 | Hits:

[Communicationbianma

Description: 通信原理课程设计软件部分,用vb实现曼彻斯特编码,HDB3编码和PCM13编码,并显示编码图形-Communication Theory course design software, using vb realize Manchester encoding, HDB3 encoding and encoding PCM13, and display graphics encoding
Platform: | Size: 64512 | Author: 姜飞 | Hits:

[Documentscoding_mcst

Description: 曼彻斯特编码的matlab实现。输入概率的条件下会以构架的形式输出编码结果,以及必要的附加参数。-Manchester encoding matlab realize. Under the conditions of the importation of probability will form the framework of the output coding results, as well as the necessary additional parameters.
Platform: | Size: 1024 | Author: 罗正平 | Hits:

[source in ebookManche_molding

Description: 曼彻斯特编码,纯属原创。所有参数开放,易于使用。大家发表意见。-Manchester encoding is purely original. All the parameters open, easy to use. Everyone to express their views.
Platform: | Size: 1024 | Author: 双无垠 | Hits:

[Mathimatics-Numerical algorithmsManchester

Description: 16 位 二进制 随机数 生产 函数和Manchester编码-16-bit binary random number production function and Manchester encoding
Platform: | Size: 3072 | Author: achun | Hits:

[matlabgraphing

Description: 1100011001的非归零码、曼彻斯特编码、差分曼彻斯特编码、调幅(ASK)、调频(FSK)和调相(PSK)的编码波形示意图-1100011001 of the NRZ, Manchester encoding, differential Manchester encoding, AM (ASK), frequency modulation (FSK) and phase modulation (PSK) waveform diagram of the coding
Platform: | Size: 1024 | Author: 刘骁明 | Hits:

[matlabManchester

Description: 用matlab实现的曼彻斯特编码。50 占空比(可调)。绘制时域波形和频域功率谱密度。结果跟北邮的郭文斌的通信原理课件上的形状一模一样。-Manchester encoding with a matlab implementation. 50 duty cycle (adjustable). Draw time-domain waveform and frequency domain power spectral density. The results with the Beijing University of Posts and Telecommunications of the GUO of communication theory on the shape of the same courseware.
Platform: | Size: 2048 | Author: 李刚 | Hits:

[SCMManchester

Description: 使用C语言编写的曼彻斯特编码和解码功能函数-Written in C language with Manchester encoding and decoding functions
Platform: | Size: 1024 | Author: firebire | Hits:

[OtherManchester-coding-

Description: 曼彻斯特编码与差分曼彻斯特编码详解,曼彻斯特编码(Manchester Encoding),也叫做相位编码(PE)是一个同步时钟编码技术,被物理层用来编码一个同步位流的时钟和数据。-Manchester coding with the difference in manchester. manchester code to labour code ( manchester encoding ), also called phase encoding (pe) is a synchronization code, the technology is the physical layer is used to encode a synchronization of the clock and data.
Platform: | Size: 27648 | Author: wanwei | Hits:

[VHDL-FPGA-VerilogManchester-Encoding-Verilog

Description: THIS DESIGN IS PROVIDED TO YOU “AS IS”. XILINX MAKES AND YOU RECEIVE NO WARRANTIES OR CONDITIONS, EXPRESS, IMPLIED, STATUTORY OR OTHERWISE, AND XILINX SPECIFICALLY DISCLAIMS ANY IMPLIED WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR A PARTICULAR PURPOSE. This design has not been verified on hardware (as opposed to simulations), and it should be used only as an example design, not as a fully functional core. XILINX does not warrant the performance, functionality, or operation of this Design will meet your requirements, or that the operation of the Design will be uninterrupted or error free, or that defects in the Design will be corrected. Furthermore, XILINX does not warrant or make any representations regarding use or the results of the use of the Design in terms of correctness, accuracy, reliability or otherwise. -THIS DESIGN IS PROVIDED TO YOU “AS IS”. XILINX MAKES AND YOU RECEIVE NO WARRANTIES OR CONDITIONS, EXPRESS, IMPLIED, STATUTORY OR OTHERWISE, AND XILINX SPECIFICALLY DISCLAIMS ANY IMPLIED WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR A PARTICULAR PURPOSE. This design has not been verified on hardware (as opposed to simulations), and it should be used only as an example design, not as a fully functional core. XILINX does not warrant the performance, functionality, or operation of this Design will meet your requirements, or that the operation of the Design will be uninterrupted or error free, or that defects in the Design will be corrected. Furthermore, XILINX does not warrant or make any representations regarding use or the results of the use of the Design in terms of correctness, accuracy, reliability or otherwise.
Platform: | Size: 8192 | Author: liyapei | Hits:

[VHDL-FPGA-Verilogmanchester-encoding-VHDL

Description: 曼彻斯特编码解码的代码,在网上找到的。因为毕设需要找到的,特此分享。-Manchester encoding and decoding the code found on the Internet. Need to find the complete set, is hereby share.
Platform: | Size: 1024 | Author: 鲁韬 | Hits:

[VHDL-FPGA-VerilogMANCHESTER-ENCODING

Description: manchester encoding 波形-software for manchester encoding
Platform: | Size: 11264 | Author: a | Hits:

[SCMManchester-encoding

Description: 曼彻斯特编码,通过此程序可以实现数字信号的编码功能,从而提高通信质量-Manchester encoding encoding function of the digital signal can be achieved through this program, to improve the quality of communication
Platform: | Size: 36864 | Author: 郑浩东 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net